دانلود ترجمه مقاله کاربرد شبکه الکترونیکی نوری سلسله مراتبی بر مبنای تورس رو تراشه ای – مجله ACM

 

 عنوان فارسی مقاله: کاربرد شبکه الکترونیکی نوری سلسله مراتبی بر مبنای تورس رو تراشه ای در سیستم چندپردازنده ای روتراشه ای
 عنوان انگلیسی مقاله: A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip
دانلود مقاله انگلیسی: برای دانلود رایگان مقاله انگلیسی با فرمت pdf اینجا کلیک نمائید

 

سال انتشار ۲۰۱۲
تعداد صفحات مقاله انگلیسی  ۲۶ صفحه
تعداد صفحات ترجمه مقاله  ۳۳ صفحه
مجله  فن آوری های در حال ظهور در سیستم های رایانه ای
دانشگاه  هونگ کونگ کشور ژاپن
کلمات کلیدی  معماری سلسله مراتبی، سیستم تراشه ای چند پردازنده، شبکه نوری رو تراشه ای، روتر الکترونیکی- نوری ، توان مصرفی
نشریه ACM ACM

 


فهرست مطالب:

 

چکیده
۱ مقدمه
۲ کار پیشین
۳ THOE
۱ ۳ معماری THOE
۲ ۳ توپولوژی و طرح و نقشه بهینه شده
۳ ۳ پروتکل ها
۴ ۳ مکانیسم کنترل توان انطباقی
۴ نتایج شبیه سازی و مقایسه
۱ ۴ مقایسه عملکرد
۲ ۴ مقایسه مصرف انرژی
۳ ۴ آنالیز منابع شبکه
۵ نتیجه گیری


بخشی از ترجمه:

 ۱ مقدمه

با افزایش پیچیدگی MPSoC دهها هسته IP را می توان بر روی یک تراشه باهم تلفیق نمود. نیازهای ارتباطی روتراشه ای رو به رشد ، فشار زیادی به اتصالات جهانی وارد کرده و با بودجه انرژی محدود، به چالشی مهم برای ارتقاء عملکرد MPSoC تبدیل شده است. یک معماری ارتباطی موثر و کارا می تواند به استفاده کامل از منابع محاسباتی کمک کرده و عملکرد MPSoC را به حداکثر برساند. شبکه های روتراشه ای (NoCs) به شکل زیربنای امیدوارکننده برای ارتباط روتراشه ای MPSoC ظهور می کند. به جای مسیریابی اتصالات جهانی مخصوص طراحی، اطلاعات از طریق بسته های مسیریابی در شبکه و بر مبنای تئوریهای شبکه بندی مدرن مبادله می شوند.
مقیاس پذیری بهتر و قابلیت استفاده مجدد از طرح NoCs را مطلوب تر از باس سنتی یا معماریهای تک منظوره نشان داده است. در فناوریهای VLSI DSM ، اتصالات فلزی بر مبنای مس مستعد مقاومت پارازیتی و ظرفیت خازنی می باشند. اتصالات جهانی روتراشه ای و تراشه با تراشه با مسائل و مشکلات جدی تاخیر، توان مصرفی و تداخل الکترومغناطیسی (EMI) مواجه می باشند. با کاهش اندازه مشخصه، اتصالات فلزی برای تحویل پهنای باند ارتباطی بالاتر موردنیاز در آینده نزدیک، توان بیشتری مصرف کرده و NoCs الکترونیکی قادر به تامین نیازمندیهای عملکردی آتی تحت شرایط محدودیت های توان نمی باشند.
از طرف دیگر، اپتیک مزایای فیزیکی قابل توجهی برای غلبه برمحدودیت های مواجه شده با اتصالات الکتریکی دارد. برای بهره گیری از فناوریهای نوری و کاهش اتلاف توان اتصال کلی NoCs اوپتیکال پیشنهاد شدند. آنها پهنای باند فوق العاده بالایی برای حفظ فاصله با سرعت های ترانزیستور فراهم می کنند. این قبیل راه حل های نوری با پیشرفت های اخیر در وسایل نوری تلفیقی و فوتونی سیلیسی در مقیاس نانو محقق شده است. بازده انرژی نزدیک به ۱   برای نرخ داده TB/s  حاصل خواهد شد. اگرچه NoCs نوری شیوه جدیدی برای توانمندی افزایش پهنای باند با توان کمتر به شمار می روند، اما لازم است مسائل مختلفی در این زمینه مد نظر قرار گیرد. اولاً اینکه از محل ارتباط در شبکه سنتی و NoCs نوری بر مبنای تورس حمایت ضعیفی به عمل آمده است. در شبکه های غیر سلسله مراتبی، نظیر شبکه عمومی،ترافیک با فاصله کوتاه و بلند مزاحم یکدیگر شده و موجب سودمندی کمتر شبکه و تاخیر ارتباطی بزرگ می شود. ثانیاً، یک مکانیسم سوئیچینگ مدار نوری برای ترافیک در فاصله طولانی موثر می باشد، اما برای ترافیک در فاصله کوتاه، سربار سوئیچینگ مدار، بازده ارتباطی را کاهش می دهد. سوم اینکه طرح ها و نقشه های NoCs نوری تا حد زیادی نادیده گرفته شده است. توپولوژی شبکه برای اجرای فیزیکی یک NoC نوری بر روی تراشه، طرح ها و نقشه های زیادی نشان می دهد. طرح و نقشه بهینه شده می تواند عملکرد شبکه و بازده انرژی یک NoC نوری را ارتقاء ببخشد.

۵٫ نتیجه گیری

در این مقاله از NoC هیبریدی الکترونیکی نوری سلسله مراتبی بر مبنای تورس موسوم به THOE برای کشف بازده ارتباطی بالا استفاده می کنیم. برای ارتقاء هر چه بیشتر بازده توان از چهار تکنیک جدید به نامهای بهینه سازی طرح و نقشه، مکانیسم کنترل توان انطباقی، پروتکل های کنترل با تاخیر پائین و فابریک سوئیچینگ نوری کم توان استفاده شده است. در این راستا THOE را با NoC الکترونیکی بر مبنای تورس و NoC نوری برای   MPSoc با استفاده از برنامه های کاربردی واقعی MPSoc باهم مقایسه کردیم. به طور متوسط برای مجموعه برنامه های کاربردی واقعی، در مقایسه با NoC بر مبنای تورس الکترونیکی، THOE به عملکرد   با کاهش ۸۴ درصدی مصرف انرژی دست می یابد. در مقایسه با NoC نوری بر مبنای تورس، THOE به عملکرد   دست یافته و در عین حال، ۹۹ درصد ازمصرف انرژی را کاهش می دهد. برای ظرفیت سوئیچینگ شبکه، در مقایسه با NoC الکترونیکی بر مبنای تورس مرجع و NoC نوری به سودمندی    ۱٫۵  دست می یابد. علاوه بر برنامه های کاربردی حقیقی، از الگوهای ترافیک یکنواخت نیز برای آنالیز متوسط تاخیر بسته و بازده شبکه THOE استفاده کردیم. همانند منابع شبکه، THOE 75 درصد از لیزرها را کاهش داده و در مقایسه با NoC نوری بر مبنای تورس  از نصف آشکارسازهای نوری استفاده می کند.

 


بخشی از مقاله انگلیسی:

 

۱٫ INTRODUCTION

With the increasing complexity of multiprocessor systems-on-chip (MPSoCs), tensof intellectual property (IP) cores could be integrated on a single chip. The growingon-chip communication demands put tremendous pressure on global interconnections,and it has become a major challenge for MPSoC performance improvementunder restricted energy budgets. An efficient communication architecture can helpto fully utilize the increasing computation resources and maximize MPSoC performance.Networks-on-chip (NoCs) are emerging as a promising infrastructure foron-chip communication of MPSoCs [Benini and De Micheli 2001, 2002; Dally andTowles 2001; Kumar et al. 2002; Rijpkema et al. 2003; Xu et al. 2005]. Instead ofrouting design-specific global interconnects, information is exchanged by routingpackets in the network based on modern networking theories.

The better scalabilityand design reusability make NoCs more favorable than traditional bus or ad hocarchitectures [Lee et al. 2007].In deep submicron (DSM) VLSI technologies, copper-based metallic interconnectsare becoming increasingly susceptible to parasitic resistance and capacitance[Pasricha and Dutt 2008]. Both chip-to-chip and on-chip global interconnects are facingserious problems of delay, power consumption, and electromagnetic interference(EMI). As feature sizes continue to decrease, metallic interconnects would consumesignificant amounts of power to deliver the higher communication bandwidth requiredin the near future, and electronic NoCs may not be able to satisfy future performancerequirements under power restrictions.

On the other hand, optics offers fundamentalphysical advantages to overcome the limitations faced by electrical interconnects.Optical NoCs were proposed to take advantage of optical technologies and reduce overall interconnect power dissipation. They also provide ultra high bandwidth tokeep pace with transistor speeds [Cho et al. 2004]. Such optical solutions are madepossible by recent developments in nanoscale silicon photonics and monolithicallyintegrated optical devices; an energy efficiency near 1pJ/bit will be achieved for TB/sdata rates [Chen et al. 2007; Masini et al. 2007; Perkins and Fonstad 2007; Perkinset al. 2008; Yin et al. 2007; Young et al. 2009].Though optical NoCs offer a new approach to empower bandwidth increase withlow power, there are several issues to be considered. First, communication locality ispoorly supported in traditional mesh and torus based optical NoCs. In nonhierarchicalnetworks, such as generic mesh and torus, short- and long-distance traffic interferewith each other and cause low network utilization and large communication latency.Second, an optical circuit switching mechanism is effective for long-distance traffic, butfor short-distance traffic, the overhead of circuit switching limits the communicationefficiency. Third, the floorplans of optical NoCs are largely overlooked. A networktopology can indicate many possible floorplans to physically implement an optical NoCon a chip. An optimized floorplan can maximize the network performance and energyefficiency of an optical NoC.To address these issues, we propose a torus-based hierarchical hybrid opticalelectronicNoC, called THOE, in this work. THOE utilizes both electronic and opticalinterconnects in a hierarchical manner through novel hybrid optical-electrical routerdesigns. It employs several new techniques including floorplan optimization, an adaptivepower control mechanism, low-latency control protocols, and a new low-power opticalswitching fabric. Based on a set of real MPSoC applications, we compared THOEwith torus-based optical NoC as well as a torus-based electronic NoC in 45nm on a256-core MPSoC, using a SystemC-based cycle-accurate NoC simulator. Compared with the electronic torus-based NoC, THOE achieves 2.46X performance and 1.51Xnetwork switching capacity utilization, with 84% less energy consumption. Compared with the torus-based optical NoC, THOE achieves 4.71X performance and 3.05X networkswitching capacity utilization, while reducing 99% of energy consumption.The rest of the article is organized as follows. Section 2 gives a survey of the relatedwork on optical NoCs. Section 3 details THOE, including the architecture and protocols.Simulation results are then analyzed in Section 4. We compared THOE witha torus-based optical NoC as well as a torus-based electronic NoC in terms of performance,energy consumption and the network switching capacity utilization. Section 5draws the conclusions of this work.2. PREVIOUS WORKSeveral on-chip optical interconnection networks have been proposed in the literature.Shacham et al. [2008] proposed a circuit-switched augmented folded torus networkbased on 4 × ۴ optical switches. Gu et al. [2008] proposed an optical mesh withlow power loss and cost. Petracca et al. [2008] proposed a nonblocking crossbar and anonblocking mesh for chip multiprocessors (CMPs), and showed that the nonblockingmesh achieves better throughput. Kash [2007] proposed an intrachip optical networkICON, using three-dimensional integration technology. The photonic NoC is combinedwith a separate multiprocessor plane, which allows electronic and photonics planes tobe optimized separately. Kirman and Mart´ınez [2010] proposed an all-optical networkfor CMPs.


 عنوان فارسی مقاله: کاربرد شبکه الکترونیکی نوری سلسله مراتبی بر مبنای تورس رو تراشه ای در سیستم چندپردازنده ای روتراشه ای
 عنوان انگلیسی مقاله: A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip

 

دانلود رایگان مقاله انگلیسی

خرید ترجمه فارسی مقاله با فرمت ورد

خرید نسخه پاورپوینت این مقاله جهت ارائه

نوشته های مشابه

دیدگاهتان را بنویسید

نشانی ایمیل شما منتشر نخواهد شد. بخش‌های موردنیاز علامت‌گذاری شده‌اند *

دکمه بازگشت به بالا